Национальный цифровой ресурс Руконт - межотраслевая электронная библиотека (ЭБС) на базе технологии Контекстум (всего произведений: 634699)
Контекстум
.
Компоненты и технологии  / №3 (164) 2015

Минимизация конечных автоматов путем использования значений выходных переменных для кодирования внутренних состояний (50,00 руб.)

0   0
Первый авторСоловьев Валерий
Страниц6
ID380964
АннотацияВ статье представлены структурные модели конечных автоматов класса C для автомата Мура и класса D для автомата Мили, которые позволяют использовать значения выходных переменных в качестве кода (части кода) конечного автомата. Показаны способы описания структурных моделей конечных автоматов на языке Verilog, причем способ описания автоматов класса D дан впервые. Исследована эффективность применения предложенных структурных моделей при реализации конечных автоматов на ПЛИС фирмы Altera. Показано, что для всех рассмотренных семейств ПЛИС модель автомата класса C способна по сравнению с традиционной моделью автомата Мили вдвое снизить стоимость реализации, а в отдельных случаях — и в 2,67 раза.
Соловьев, В. Минимизация конечных автоматов путем использования значений выходных переменных для кодирования внутренних состояний / В. Соловьев // Компоненты и технологии .— 2015 .— №3 (164) .— С. 67-72 .— URL: https://rucont.ru/efd/380964 (дата обращения: 24.04.2024)

Предпросмотр (выдержки из произведения)

ПЛИС компоненты 65 Минимизация конечных автоматов путем использования значений выходных переменных для кодирования внутренних состояний Валерий СОЛОВЬЕВ valsol@mail.ru В статье представлены структурные модели конечных автоматов класса C для автомата Мура и класса D для автомата Мили, которые позволяют использовать значения выходных переменных в качестве кода (части кода) конечного автомата. <...> Показаны способы описания структурных моделей конечных автоматов на языке Verilog, причем способ описания автоматов класса D дан впервые. <...> Исследована эффективность применения предложенных структурных моделей при реализации конечных автоматов на ПЛИС фирмы Altera. <...> Показано, что для всех рассмотренных семейств ПЛИС модель автомата класса C способна по сравнению с традиционной моделью автомата Мили вдвое снизить стоимость реализации, а в отдельных случаях — и в 2,67 раза. <...> И спользование модели автомата класса D сокращает стоимость реализации в 1,33 раза. <...> А модель автомата класса C, применяемая для большинства семейств ПЛИС, позволяет достигнуть наибольшего быстродействия конечного автомата. <...> Выполнена верификация структурных моделей конечных автоматов, которая показала полную идентичность функционирования традиционных (классы A и B) и предложенных (классы C и D) структурных моделей. <...> Приведено ориентированное на практическое использование в режиме ручного проектирования описание метода перехода от автомата типа Мили к автомату типа Мура (что обязательно при применении модели автоматов класса C) и метода кодирования внутренних состояний автоматов классов C и D. <...> Введение Конечный автомат является математической моделью последовательностных схем, а, как известно [1], цифровые системы состоят из совокупности последовательностных и комбинационных схем. <...> Кроме того, конечные автоматы широко используются в качестве контроллеров конкретных устройств, отдельных составных частей, а также общего устройства управления <...>