Национальный цифровой ресурс Руконт - межотраслевая электронная библиотека (ЭБС) на базе технологии Контекстум (всего произведений: 634840)
Контекстум
Руконтекст антиплагиат система
Компоненты и технологии  / №2 (163) 2015

Стили описания конечных автоматов на языке Verilog (50,00 руб.)

0   0
Первый авторСоловьев Валерий
Страниц6
ID380881
АннотацияВ статье исследуются стили описания конечных автоматов на языке Verilog и рассматривается проблема выбора наилучшего способа описания с точки зрения стоимости реализации и быстродействия конечного автомата. Поставленная задача решается эмпирически путем выполнения большого количества экспериментальных исследований на эталонных примерах конечных автоматов. Предложено семь конструкций языка Verilog для описания комбинационных схем конечных автоматов, из которых выбрано две наилучшие конструкции по стоимости реализации. Представлено шесть стилей описания конечных автоматов на языке Verilog, чья эффективность исследовалась при синтезе конечных автоматов на ПЛИС трех классов: CPLD, FPGA и SoC. Показано, что выбор стиля описания позволяет уменьшить стоимость реализации конечного автомата для отдельных примеров в 3,06 раза и повысить быстродействие в 1,6 раза. В заключение указывается на возможные направления дальнейших исследований в этой области.
Соловьев, В. Стили описания конечных автоматов на языке Verilog / В. Соловьев // Компоненты и технологии .— 2015 .— №2 (163) .— С. 59-64 .— URL: https://rucont.ru/efd/380881 (дата обращения: 27.04.2024)

Предпросмотр (выдержки из произведения)

ПЛИС компоненты 57 Стили описания конечных автоматов на языке Verilog Валерий СОЛОВЬЕВ, д. т. н., профессор valsol@mail.ru В статье исследуются стили описания конечных автоматов на языке Verilog и рассматривается проблема выбора наилучшего способа описания с точки зрения стоимости реализации и быстродействия конечного автомата. <...> Поставленная задача решается эмпирически путем выполнения большого количества экспериментальных исследований на эталонных примерах конечных автоматов. <...> Предложено семь конструкций языка Verilog для описания комбинационных схем конечных автоматов, из которых выбрано две наилучшие конструкции по стоимости реализации. <...> Представлено шесть стилей описания конечных автоматов на языке Verilog, чья эффективность исследовалась при синтезе конечных автоматов на ПЛИС трех классов: CPLD, FPGA и SoC. <...> Показано, что выбор стиля описания позволяет уменьшить стоимость реализации конечного автомата для отдельных примеров в 3,06 раза и повысить быстродействие в 1,6 раза. <...> Язык Verilog появился в среде разработчиков аппаратуры как альтернатива языку VHDL и быстро завоевал популярность среди инженеров-практиков. <...> В частности, язык Verilog широко применяется при проектировании цифровых систем на основе программируемых логических интегральных схем (ПЛИС), его поддерживают средства проектирования таких фирм, как Altera, Xilinx, Synopsys, Cadence, Mentor Graphics и др. <...> Вопрос эффективного описания конечных автоматов на языке Verilog [4] возник у разработчиков компиляторов языка в связи с необходимостью выделять из кода проекта на языке Verilog описание конечного автомата с целью его дальнейшего синтеза. <...> Дело в том, что стандарты [1–3] языка Verilog не дают ответа на вопрос, как на языке Verilog описать конечный автомат. <...> В то же время конечный автомат играет важную роль при проектировании цифровых систем, поскольку он является математической моделью КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 2 '2015 последовательностной схемы. <...> В указанной статье [5] детально рассмотрены <...>