Национальный цифровой ресурс Руконт - межотраслевая электронная библиотека (ЭБС) на базе технологии Контекстум (всего произведений: 636896)
Контекстум
Электро-2024
Компоненты и технологии  / №2 (163) 2015

Проектирование КИХ-фильтров на распределенной арифметике в САПР ПЛИС XILINX ISE DESIGN SUITE (50,00 руб.)

0   0
Первый авторСтрогонов Андрей
АвторыЦыбин Сергей, Городков Павел
Страниц6
ID380877
АннотацияВ данном материале предлагается рассмотреть вопрос проектирования КИХ-фильтров на распределенной арифметике с помощью генератора параметризированных ядер XLogiCORE IP FIR Compiler v5.0. Преимущество использования распределенной арифметики заключается в том, что с ростом числа отводов производительность КИХ-фильтра остается постоянной за счет применения «безумножительных» схем умножения, при этом обеспечивается повышенное быстродействие и экономия от применения встроенных ЦОС-блоков, а недостатком становится повышенный расход логических ресурсов ПЛИС.
Строгонов, А. Проектирование КИХ-фильтров на распределенной арифметике в САПР ПЛИС XILINX ISE DESIGN SUITE / А. Строгонов, Сергей Цыбин, Павел Городков // Компоненты и технологии .— 2015 .— №2 (163) .— С. 40-45 .— URL: https://rucont.ru/efd/380877 (дата обращения: 26.05.2024)

Предпросмотр (выдержки из произведения)

38 компоненты ПЛИС Проектирование КИХ-фильтров на распределенной арифметике в САПР ПЛИС XILINX ISE DESIGN SUITE Андрей СТРОГОНОВ, д. т. н. <...> tsybin@edc-electronics.ru Павел ГОРОДКОВ gorodkoff@gmail.com В данном материале предлагается рассмотреть вопрос проектирования КИХ-фильтров на распределенной арифметике с помощью генератора параметризированных ядер XLogiCORE IP FIR Compiler v5.0. <...> Преимущество использования распределенной арифметики заключается в том, что с ростом числа отводов производительность КИХ-фильтра остается постоянной за счет применения «безумножительных» схем умножения, при этом обеспечивается повышенное быстродействие и экономия от применения встроенных ЦОС-блоков, а недостатком становится повышенный расход логических ресурсов ПЛИС. в базисе ПЛИС Spartan-6 XC6SLX4 с использованием генератора параметризированных ядер XLogiCORE IP и функции FIR Compiler v6.3 САПР Xilinx ISE 14.2 [2,3]. <...> Пример 1 демонстрирует тестбенч для моделирования прохождения сигнала по структуре КИХфильтра на четыре отвода. <...> 2 отображено моделирование прохождения сигнала по структуре КИХ-фильтра. <...> Для размещения проекта в базис ПЛИС предыдущей работе [1] рассматривались особенности проектирования систолических КИХ-фильтров XC6SLX4 требуется 48 триггеров, тактируемых фронтом синхросигнала из общих логических ресурсов ПЛИС, и один ЦОС-блок DSP48A1 (всего доступно 8 ЦОС-блоков), при этом максимальная частота составила 348 МГц. <...> Стробирование результатов фильтрации осуществляется выходным сигналом m_axis_data_tvalid, то есть появление единичного импульса свидетельствует о готовности результата фильтрации сигнала на выходе m_axis_data_tdata(7:0), который остается неизменным вплоть до появления следующего стробирующего импульса. <...> Расстояние между стробами — четыре такта синхрочастоты (результат фильтрации держится пять тактов синхрочастоты). <...> Пример 1 демонстрирует специальную моделирующую программу на языке VHDL (испытательный стенд) для функционального моделирования прохождения сигнала по структуре <...>